ALU Implementation - HELP

classic Classic list List threaded Threaded
4 messages Options
Reply | Threaded
Open this post in threaded view
|

ALU Implementation - HELP

30249
I am stuck on implementing the HDL chip. Any suggestions? Thanks.
Reply | Threaded
Open this post in threaded view
|

Re: ALU Implementation - HELP

WBahn
Administrator
We need a more detailed description of what is giving you problems in order to provide useful suggestions.

What have you tried so far?

Take it little by little.

You have a control bit, zx, that determines whether the input signal x is allowed through or is forced to be zero. Can you implement some HDL code that results in a signal, perhaps called x_zx, that will be equal to x when zx is 0 and equal to zero when zx is 1?
 
Reply | Threaded
Open this post in threaded view
|

Re: ALU Implementation - HELP

30249
Thank you for your answer. How do I fill all the bits of a 16-bit variable with one number? So the output of a gate gives a single bit, and I want to duplicate it by every spot in the 16-bit value. I think I remember this being explained in the course, but I can't find it. Thanks.
Reply | Threaded
Open this post in threaded view
|

Re: ALU Implementation - HELP

WBahn
Administrator
There are two hardcoded values, 'true' and 'false' that are interpreted as being equal to 1 and 0, respectively, but that are also interpreted as being the same width as the port they are connected to.