StaticsTest.tst, StaticsTestVME.tst set different SP value

classic Classic list List threaded Threaded
1 message Options
Reply | Threaded
Open this post in threaded view
|

StaticsTest.tst, StaticsTestVME.tst set different SP value

Shmeegoose
I noticed that StaticsTest.tst sets RAM[0] = 256, whereas StaticsTestVME.tst sets RAM[0]=261. It appears just to be an error in the SP in StaticsTest.tst, the output memory locations look to be consistent with StaticsTestVME.tst. I redownloaded the project files from the website just to make sure I hadn't somehow modified the original StaticsTest.tst.

For reference, this is the contents of StaticsTest.tst sans comments.

load StaticsTest.asm,
output-file StaticsTest.out,
compare-to StaticsTest.cmp,
output-list RAM[0]%D1.6.1 RAM[261]%D1.6.1 RAM[262]%D1.6.1;

set RAM[0] 256,

repeat 2500 {
  ticktock;
}

output;