Nand2Tetris Questions and Answers Forum

If you have questions about Nand2Tetris projects or software, you are in the right place. Please select a sub-forum and review the posts; there is a good chance that a similar question was previously asked and answered. If not,  post your question, and we will answer it shortly. If you feel like answering some of the questions yourself, feel free. Please avoid posting solutions or solution segments (a few lines of code is fine). It's important to let people have the freedom to build the computer on their own.

Due to a large number of spam posts, you must be a registered member to post to the forum.

Software and tools can be downloaded from the Nand2Tetris site.
1 ... 83848586878889
Topics (3099)
Replies Last Post Views Sub Forum
This is cool. by BrandonK
0
by BrandonK
Users Forum
Is my implementation correct? by ybakos
0
by ybakos
Chapter 1
Clarification for Project 1 by Sparky
5
by ybakos
Project 1
constants true and false by ybakos
3
by cadet1620
Chapter 1
ALU optimization by marz
0
by marz
Chapter 2
Implementation of the logic gates in chapter 1 by BrandonK
4
by BrandonK
Chapter 1
4-way 16-bit mux by BrandonK
5
by BrandonK
Chapter 1
proj 1 answers by marz
3
by marz
Chapter 1
Mux4Way16 by davnav
3
by ybakos
Project 1
Ram8 comparison failure by wjbonner
5
by culchie
Project 3
Or16Way by Jonathan Katz
2
by Alsace
Chapter 2
Helpful HDL philosophy by cadet1620
2
by cadet1620
Chapter 1
Implementing a zero/negate chip by acasimpsonz
3
by cadet1620
Chapter 2
And16 by davnav
4
by ybakos
Project 1
Implementing an ALU chip by Sparky
4
by Sparky
Chapter 2
Jack Compiler by Student553
3
by Josh Nahum
Software installation
JackCompiler hang by cadet1620
0
by cadet1620
Projects
Unable to load chip by Alexei234
2
by cadet1620
Hardware Simulator
teaching: from chip function to implementation by ybakos
11
by Sparky
Chapter 1
tests always failing by Zackarya
5
by culchie
Project 1
Question with the 16 bit adder by Will
2
by cadet1620
Chapter 2
test files for Computer.hdl by John Douma
1
by culchie
Chapter 5
Problem with running the hardware simulator on the command line by pramode_ce
0
by pramode_ce
Projects
Hardware Simulator not displaying error messages by pramode_ce
2
by pramode_ce
Projects
A good complement: Code by Petzold by ybakos
10
by culchie
More Fun to Go
order of operations in ALU by John Douma
1
by culchie
Chapter 2
Merry Christmas & Thanks to the Forum Members by Warren Toomey
3
by culchie
Other
Xor not working by Anirudh
3
by culchie
Chapter 1
illegal terminator: '{' error by Anirudh
2
by Anirudh
Chapter 1
No tutorials folder in the software download by Daniel
2
by Daniel
Chapter 1
Chip if not found in working folders - error message by curio
1
by marz
Chapter 1
Constant -1 by Francisco José Torna...
4
by cadet1620
Project 7
Error with supplied OS files in testing Decimal-to-Binary Conversion by Michael Adams
0
by Michael Adams
Chapter 11
Implementing simple gates like AND and NOT by Horia Vitcu (Romania...
7
by Horia Vitcu
Chapter 1
Trouble implementing F control bit in ALU by nNa
5
by culchie
Chapter 2
1 ... 83848586878889